From 5a9bf466c4b8c6355b9a84dea21d8a78b95631d2 Mon Sep 17 00:00:00 2001 From: Wolfram Schneider Date: Fri, 27 Jun 2014 16:28:34 +0200 Subject: [PATCH] finish debugging --- test/bin/bomb.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/bin/bomb.pl b/test/bin/bomb.pl index bff7f68..bcc8ec1 100755 --- a/test/bin/bomb.pl +++ b/test/bin/bomb.pl @@ -41,7 +41,7 @@ die usage if !@system; # set CPU limit, in case the alarm handler will # be ignored eval { - require BSD::Resource2; + require BSD::Resource; setrlimit("RLIMIT_CPU", $timeout, 2*$timeout) or die "Cannot set CPU limit: $!\n"; }; if ($@) { -- 1.7.10.4